3.9 Test Point Results

Test Point Function Test Subject Test Overview Reporting Mechanism
TestPointTempMemoryFunction () Temporary Memory Reads/writes results on the stack and heap Reported through PPI
TestPointDebugInitDone () Debug Capability Dumps a struct of debug configuration parameters to the log Serial Port shows debug log
Port 80 shows number
Table 14 Stage I Test Point Results