4.9 Test Point Results

Test Point Test Subject Test Overview Reporting Mechanism
TestPointMemory
DiscoveredMtrr
Functional ()
MTRR after memory discovered Verifies MTRR settings.

(No overlap, PEI memory WB, Flash region is WP, MMIO UC)
Dump result to serial log.

Set ADAPTER_INFO_
PLATFORM_TEST_
POINT_STRUCT
TestPointMemory
DiscoveredMemory
Resource
Functional ()
Resource description HOB No memory resource overlap. Dump result to serial log.

Set ADAPTER_INFO_
PLATFORM_TEST_
POINT_STRUCT
TestPointMemory
DiscoveredFvInfo
Functional ()
FV HOB and FV info PPI FV HOB and FV info PPI. Dump result to serial log.

Set ADAPTER_INFO_
PLATFORM_TEST_
POINT_STRUCT
Table 26 Test Point Results

NOTE: ADAPTER_INFO_PLATFORM_TEST_POINT_STRUCT can be updated by TestPointCheckLib. The format is similar to the HSTI. See Appendix - Interface TestPoint.